3:37.48 real,219.37 user,0.29 sys