0:04.26 real,3.40 user,0.30 sys