4:48.21 real,288.23 user,0.62 sys